1 В избранное 0 Ответвления 0

OSCHINA-MIRROR/huazhong_xuan-shudian_medicinecount

Присоединиться к Gitlife
Откройте для себя и примите участие в публичных проектах с открытым исходным кодом с участием более 10 миллионов разработчиков. Приватные репозитории также полностью бесплатны :)
Присоединиться бесплатно
Клонировать/Скачать
bottle_count.vhd 625
Копировать Редактировать Web IDE Исходные данные Просмотреть построчно История
1026508651 Отправлено 04.04.2022 12:12 3e483df
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity bottle_count is
port(
Cout1:in std_logic;
MAX:in std_logic_vector(7 downto 0);
bottle:out std_logic_vector(7 downto 0);
bottle_judge:out std_logic
);
end bottle_count;
architecture main of bottle_count is
signal temp:std_logic_vector(7 downto 0);
begin
process(Cout1)
begin
if(Cout1'event and Cout1='1')then
if(temp(3 downto 0)="1001")then
temp<=temp+6;
else
temp<=temp+1;
end if;
end if;
end process;
bottle<=temp;
bottle_judge<='1' when temp>MAX else
'0';
end main;

Опубликовать ( 0 )

Вы можете оставить комментарий после Вход в систему

1
https://api.gitlife.ru/oschina-mirror/huazhong_xuan-shudian_medicinecount.git
git@api.gitlife.ru:oschina-mirror/huazhong_xuan-shudian_medicinecount.git
oschina-mirror
huazhong_xuan-shudian_medicinecount
huazhong_xuan-shudian_medicinecount
master